CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 抢答器

搜索资源列表

  1. eqingdaqi

    1下载:
  2. VHDL电子抢答器的实现。有多个文件,主控件是用图行实现。其余各功能模块用VHDL实现-VHDL electronic Responder realized. A number of documents, the main controls are using maps the bank. The remaining modules using VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1055728
    • 提供者:运思
  1. zlqdq

    0下载:
  2. vhdl编写的智力抢答器程序,比较简单,仅供参考-vhdl prepared by the intelligence Responder procedure is relatively simple, for information purposes only
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2752
    • 提供者:george
  1. qiangdaqi111

    0下载:
  2. 4路抢答器,vhdl语言编写,绝对真实-four road Responder, vhdl language, absolutely true.
  3. 所属分类:其他游戏

    • 发布日期:2008-10-13
    • 文件大小:1591
    • 提供者:陆已
  1. VHDLverilogshirenqiangdaqi

    0下载:
  2. 用VHDL和verilog实现的四人抢答器-using VHDL and verilog realization of four Responder
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:5596
    • 提供者:qihuolin
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. 用VHDL语言实现四人智力竞赛抢答器的设计

    0下载:
  2. 1、用feng模块将选手按下按键信号输出高电平给锁存模块lockb,进行锁存的同时发出aim信号实现声音提示,并使count模块进行答题时间的倒计时,在计满100妙后送出声音提示; 2、用ch41a模块将抢答结果转换为二进制数; 3、用sel模块产生数码管片选信号; 4、用ch42a模块将对应数码管片选信号,送出需要的显示信号; 5、用七段译码器dispa模块进行译码。
  3. 所属分类:VHDL编程

  1. VHDL

    0下载:
  2. 多人抢答器 源代码 实用 课程设计 用用VHDL语言-The source code for more than Responder practical courses designed for use with the VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:8915
    • 提供者:
  1. VHDL

    0下载:
  2. 电子抢答器VHDL语言设计 材料是一图文格式的可能需要读者自己打上去 不过绝对真实-Answer electronic device materials VHDL language design is a graphic format, the reader may need to play up its own absolutely true, however
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1818875
    • 提供者:陈明
  1. EDA

    1下载:
  2. 以前学EDA的时候做过的四个小程序,分别是24/12小时制数字钟、数字频率计、乐曲播放电路、多人智力竞赛抢答器-EDA previously done when the four small procedures are 24/12 hour digital clock, digital frequency meter, circuit music players and many more devices quiz Answer
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:461667
    • 提供者:王宇
  1. vhdl

    0下载:
  2. 抢答器里的基本原程序,抢答模块,计时器电路JSQ的VHDL源程序,译码器电路YMQ的VHDL源程序-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:7603
    • 提供者:ymy
  1. vhdl

    0下载:
  2. 用vhdl实现的抢答器程序。正弦波,锯齿波,三角波发生器程序。基于pwm技术的数码流水灯程序。计数器程序。-Responder with vhdl implementation process. Sine wave, sawtooth wave, triangle wave generator program. Pwm technology based on digital light process flow. Counter program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5956
    • 提供者:杨雨
  1. VHDL

    0下载:
  2. 四路抢答器。自锁,灭灯,响闹,计时,显示。-Four ways of vies to implement VHDL source language
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:6903
    • 提供者:谢铷祥
  1. VHDL

    0下载:
  2. 2人抢答器 简易循环彩灯(红灯3s,绿灯2s,黄灯1s) 交通灯-2 Responder simple cycle lights (red 3s, green 2s, yellow 1s) traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:149688
    • 提供者:yu
  1. VHDL-Responder-Course-Design

    0下载:
  2. 开始键按下后,8个进度指示灯依次点亮,之后开始抢答。4个按键开关代表4个抢答键,由数码管显示最先按下的开关序号,表示此号码抢答成功。若在进度灯全亮之前有任意键被按下,则表示有人犯规!系统结构描述:此系统共包括4个板块,分别是输入板块、计数器板块、数码显示器板块、判断板块,各功能组合一起构成一个完整的抢答器。-Start key is pressed, 8 progress lights were lit, and then answer in the beginning. 4 key switc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:374029
    • 提供者:竹下寺宁
  1. VHDL

    0下载:
  2. 该源码包全面详细的描述了一个基于VHDL的抢答器设计运行于Alter公司的FPGA上-The source package detail an answer based on the VHDL designed to run on Alter FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:79378
    • 提供者:liwei
  1. VHDL-design-four-Responder

    0下载:
  2. 1、熟悉四人抢答器的工作原理。 2、加深对VHDL语言的理解。 3、掌握EDA开发的基本流程。 -A familiar four Responder works. 2, to deepen the understanding of the VHDL language. 3, master EDA development of the basic processes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:1180092
    • 提供者:于治成
  1. VHDL

    0下载:
  2. (1)抢答器可容纳四组选手,并为每组选手设置一个按钮供抢答者使用; 为主持人设置一个控制按钮,用来控制系统清零(组别显示数码管灭灯)和抢答开始。 (2)电路具有对第一抢答信号的锁存、鉴别和显示等功能。在主持人将系统复位并发出抢答指令后,提示抢答开始,计时显示器显示初始时间并开始倒计时,若参赛选手按下抢答按钮,则该组别的信号立即被锁存,并在组别显示器上显示该组别,同时扬声器也给出音响提示,此时,电路具备自锁功能,使其他抢答按钮不起作用。 (3)主持人对抢答结果进行确认,给出倒计时计数允许信
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:1707148
    • 提供者:黄培哲
  1. VHDL-qiangdaqi

    0下载:
  2. VHDL语言实现的抢答器功能,源码和原理图都包含在文件内,可以直接在FPGA上运行。-The VHDL Responder function, source code and schematics are included in the file, you can run directly on the FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:935946
    • 提供者:程序猿
  1. Responder-based-on-VHDL-Design

    0下载:
  2. 1、熟悉四人抢答器的工作原理。 2、加深对VHDL语言的理解。 3、掌握EDA开发的基本流程。 - Responder based on VHDL Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:97346
    • 提供者:漆广文
  1. 60s qiangdaqi

    0下载:
  2. 1.抢答器同时供N名选手,(此处假设4个)分别用4个按钮S0~?S3表示。? 2.设置一个系统“开始复位”开关S,该开关由主持人控制(当主持人按下该开关后以前的状态复位并且开始计时抢答)。?3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。?(1. Responder at the same time for N players, (here assumed
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:8116224
    • 提供者:drb
« 1 2 34 5 6 »
搜珍网 www.dssz.com